mercoledì, Ottobre 23, 2024
HomeIN EVIDENZAIl mercato globale dei chiplet raggiungerà il valore di 411 miliardi di...

Il mercato globale dei chiplet raggiungerà il valore di 411 miliardi di dollari entro il 2035

IDTechEx chiplet
Nuove funzioni/design abilitati dal design chiplet

Nel nuovo report “Chiplet Technology 2025-2035: Technology, Opportunities, Applications”, IDTechEx prevede che il mercato globale dei chiplet crescerà tra il 2025 e il 2035 con un CAGR del 14,7% raggiungendo un valore di 411 miliardi di dollari.

Il rapporto di IDTechEx Research, società di consulenza e ricerche di mercato, fornisce un’analisi completa del panorama della tecnologia chiplet ed esplora i driver e i vantaggi che rendono attraente il design chiplet, identificando anche le sfide e gli ostacoli nella sua adozione e implementazione.

Secondo IDTechEx nel decennio 2025-2035 il mercato globale dei chiplet crescerà con un CAGR del 14,7% raggiungendo entro il 2035 un valore di 411 miliardi di dollari, spinto dalle richieste di elaborazione ad alte prestazioni in settori quali data center e AI. La natura modulare dei chiplet consente una rapida innovazione e personalizzazione, soddisfacendo specifiche esigenze di mercato e riducendo al contempo tempi e costi di sviluppo.

Scenario attuale del mercato

Sebbene i chiplet offrano numerosi vantaggi, presentano anche nuove sfide. L’integrazione di più chiplet richiede tecnologie e standard di interconnessione avanzati per garantire una comunicazione fluida tra i componenti. La gestione termica è un’altra area critica, poiché una maggiore densità di funzioni può portare a surriscaldamento se non gestita correttamente.
Queste sfide aprono opportunità per vari attori nella catena di fornitura. Ad esempio, diverse aree del package nella progettazione dei chiplet richiedono tipi distinti di materiali di riempimento per soddisfare esigenze specifiche, ad esempio per proteggere i chip stessi, fornendo supporto meccanico e stabilità termica, nonché per salvaguardare i delicati fili e le sfere di saldatura che collegano i chiplet, prevenendo problemi come delaminazione o separazione. Ciò crea una domanda di materiali innovativi che migliorino affidabilità e prestazioni.



Nel mondo in rapida evoluzione dei semiconduttori, la tecnologia chiplet sta emergendo come un approccio rivoluzionario che affronta molte delle sfide affrontate dai tradizionali progetti monolitici System-on-Chip (SoC). Mentre la legge di Moore rallenta, l’industria dei semiconduttori sta cercando soluzioni innovative per aumentare le prestazioni e la funzionalità senza semplicemente aumentare la densità dei transistor.
I chiplet offrono un promettente percorso in avanti, fornendo flessibilità, modularità, personalizzazione, efficienza e convenienza nella progettazione e produzione di chip. Aziende come AMD e Intel sono state all’avanguardia in questa tecnologia, con prodotti come i processori EPYC di AMD e la GPU per data center Ponte Vecchio di Intel che mostrano il potenziale dei chiplet nell’aumentare il numero di core e nell’integrare diverse funzionalità.

I chiplet sono componenti semiconduttori modulari discreti che vengono co-progettati e prodotti separatamente prima di essere integrati in un sistema più grande. Questo approccio assomiglia a un SoC su un modulo, in cui ogni chiplet è progettato per funzionare insieme ad altri, rendendo necessaria la co-ottimizzazione nella progettazione. La modularità dei chiplet si allinea con le principali tendenze dei semiconduttori come la chipletizzazione IP, l’eterogeneità di integrazione e l’incrementalizzazione I/O. Il chiplet è anche associato all’integrazione eterogenea e al packaging avanzato.

IDTechEx chiplet
Concetto SoC vs Chiplet

Il rapporto “Chiplet Technology 2025-2035: Technology, Opportunities, Applications”

La ricerca di IDTechEx fornisce approfondimenti sulla tecnologia e sui processi di produzione, comprese le metodologie di progettazione e le tecniche di confezionamento. La discussione si estende alla comunicazione inter-chiplet, concentrandosi sulle interconnessioni e sugli standard necessari per un’integrazione senza soluzione di continuità. Vengono delineate strategie di gestione termica per affrontare la gestione del calore in sistemi densamente impacchettati.
Infine, il rapporto esamina varie aree di applicazione, mostrando casi d’uso in diversi settori che dimostrano la versatilità e l’impatto della tecnologia chiplet. Viene fornita una previsione di mercato decennale segmentata per applicazione che esamina le tendenze di crescita e le proiezioni future. Si addentra inoltre nella catena di fornitura, evidenziando i principali attori e i loro ruoli nel progresso della tecnologia chiplet.

L’importanza dei chiplet

Il rallentamento della legge di Moore ha reso sempre più difficile aggiungere più transistor in un’area limitata. Invece, l’attenzione si è spostata sul miglioramento della densità di funzione, un’area in cui il design dei chiplet eccelle. Nel frattempo, gli sforzi di sviluppo si sono sempre più concentrati sull’integrazione a livello di sistema piuttosto che esclusivamente sulla produzione di wafer.

L’adozione della tecnologia chiplet è guidata dalla sua capacità di affrontare diverse limitazioni critiche inerenti ai tradizionali progetti di chip monolitici. Un vantaggio è la sua capacità di superare vincoli quali le dimensioni del reticolo e il muro di memoria, che tradizionalmente ostacolano le prestazioni e la scalabilità dei dispositivi a semiconduttore. Modularizzando le funzioni dei chip in chiplet discreti, i produttori possono ottimizzare l’uso dei materiali semiconduttori e dei nodi di elaborazione in modo più efficace. Inoltre, i chiplet possono ottenere un migliore utilizzo dello spazio angolare del wafer e avere un tasso di difetti inferiore sui chip, che sono spesso sottoutilizzati nei progetti di chip convenzionali, in particolare nei SoC più grandi che richiedono un numero crescente di funzioni.
I componenti discreti possono essere testati e convalidati individualmente prima dell’integrazione. Di conseguenza, la resa di produzione aumenta, consentendo una maggiore qualità di output e costi ridotti per unità. Inoltre, i chiplet facilitano un processo di progettazione più flessibile, consentendo l’integrazione di diverse funzionalità su misura per applicazioni specifiche senza la necessità di progetti di chip completamente nuovi. Questa flessibilità non solo riduce i tempi e i costi di sviluppo, ma consente anche un rapido adattamento alle mutevoli esigenze tecnologiche.

La natura dei chiplet consente ai produttori di reperire diverse parti da più fornitori in varie regioni. Questa diversificazione riduce la dipendenza da un singolo fornitore o area geografica, migliorando così la resilienza della supply chain. Nel contesto delle tensioni geopolitiche e delle restrizioni commerciali, la tecnologia chiplet fornisce un vantaggio strategico mitigando i rischi associati alle interruzioni della fornitura. Adottando progetti chiplet, le aziende possono superare questi vincoli in modo più efficace, assicurando una fornitura costante di componenti critici senza fare affidamento pesantemente su regioni soggette a instabilità politica o sanzioni commerciali.

Nel complesso, questi fattori rendono la tecnologia chiplet un’opzione interessante per i produttori che cercano di migliorare le prestazioni mantenendo l’efficienza economica.



Aspetti chiave del report

Contesto e motivazioni del chiplet

  • Panoramica sulla tecnologia chiplet e sul suo impatto trasformativo sulla progettazione dei semiconduttori.
  • Analisi dei fattori trainanti e dei vantaggi che rendono interessante la progettazione dei chiplet, come flessibilità, modularità e rapporto costi-efficacia.
  • Esame di come i chiplet affrontano i limiti dei tradizionali design monolitici, migliorando le rese e riducendo i costi.

Tecnologie e sfide dei chiplet

  • Approfondimenti sulla tecnologia e sui processi di produzione, con particolare attenzione alle metodologie di progettazione e alle tecniche di confezionamento.
  • Esplorazione della comunicazione inter-chiplet, descrizione dettagliata delle interconnessioni e degli standard per un’integrazione perfetta.
  • Strategie per una gestione termica efficace nei sistemi chiplet ad alta densità.
  • Identificazione delle sfide nell’adozione della tecnologia chiplet, tra cui le complessità di integrazione e i problemi di gestione termica.

Previsioni di mercato e analisi dello stato

  • Previsioni di mercato complete con trend di crescita e proiezioni future fino al 2035.
  • Analisi dettagliata che copre una previsione decennale per sei aree applicative, tra cui server, telecomunicazioni e 5G e IoT, PC, telefoni cellulari, settore automobilistico e altri.
  • Esame dello stato attuale e delle tendenze del mercato, evidenziando i principali attori e il loro ruolo nel progresso della tecnologia chiplet.
  • Analisi dettagliata della supply chain che evidenzia gli attori chiave e il loro ruolo nel progresso della tecnologia chiplet.